Intel introduces EUV generation with Intel 4 and Meteor Lake. According to the company, the step will deliver a significant performance boost with the same power consumption. It’s a rather late step the manufacturer is taking, as competitor AMD has been able to take advantage of technology that has been used in TSMC for some time.

Intel 4

Intel 4 stands for 7nm process. The current 10nm process is called Intel 7. In addition to size reduction, the 7 nm process also provides simplification of the exposure steps provided by EUV. EUV stands for Extreme Ultraviolet, and represents the wavelength of light. The goal is to achieve an additional clock speed of more than 20 percent with the same power consumption. However, an even greater performance gain can be achieved with a higher voltage. All compared to the current Alder Lake processors of Intel’s twelfth generation.

During the introduction of Intel 7, the manufacturer implemented several new technologies at once, causing delays, especially at startup. With Intel 4, the company takes a modular approach. The techniques are applied step by step from node to node. With this, the company hopes to avoid delays. Intel is developing multiple nodes in parallel and hopes to add five nodes every four years.

Meteor Lake

Meteor Lake will be the first product of Intel 4, and according to the manufacturer, the series will launch in 2023. Intel’s pre-existing three dimensional 3da stacking technique in which different silicon parts or layers of the processor are stacked. However, this will be Intel’s first attempt at large-scale production with this advanced packaging technology.

Intel will vertically connect four stacked dies (called “tiles” by the company) to a broker via TSV (Via Silicon) connections. Different tiles consist of computation tile, input/output tile, soc tile and graphics tile. Intel states that the compute tile is still made using Intel 4, but does not specifically say that the rest of the tiles are as well.

An enlarged view of a Meteor Lake CPU shows us six (blue) Redwood Cove performance cores used for more demanding tasks. To its right, we see two clusters of four Crestmont productivity cores used, for example, for low-performance background tasks. The core of the chip contains the L3 cache and interconnect.

Intel 3

The Intel 4 node is forward compatible with Intel 3, allowing designs to be migrated between the two. The manufacturer states that Intel will get 3 EUV layers, improved interconnect and transistors. Node will be 18 percent faster. It will also be the first node to be offered by Intel Foundry Services (IFS). After Intel 3, the company will enter the ångström era with nodes 20A and 18A. Technologies such as RibbonFETs and PowerVIA are also introduced.

Source: Intel

Source: Hardware Info

Previous articleCheat for WhatsApp: How to recover deleted messages
Next articleChinese explorations revealed in detail in the history of the map of the moon

LEAVE A REPLY

Please enter your comment!
Please enter your name here